6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Fri May 17, 2024 1:07 am

All times are UTC




Post new topic Reply to topic  [ 55 posts ]  Go to page Previous  1, 2, 3, 4  Next
Author Message
PostPosted: Mon Mar 27, 2023 4:17 am 
Offline
User avatar

Joined: Fri Aug 03, 2018 8:52 am
Posts: 746
Location: Germany
why EEPROMs when you can just use cheap Flash chips (like the SST39SF040) that can be programmed without any special voltages? that would allow you to update the flash using the 65816 without needing an external programmer or 12V Power supply. (though obviously for the very first time you need an external programmer)

On a side note, since you plan on having an OS on this, what about an RTC and Storage (like an SD card, since they're cheap and easy to interface)?
Just storage is already very useful for loading an OS and programs without needing them to be in the ROM/Flash, /MCU or some external device. An RTC would just be an extra ontop of that.


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 5:36 am 
Online
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8438
Location: Southern California
Proxy wrote:
why EEPROMs when you can just use cheap Flash chips (like the SST39SF040) that can be programmed without any special voltages? that would allow you to update the flash using the 65816 without needing an external programmer or 12V Power supply. (though obviously for the very first time you need an external programmer)

Note that EEPROMs (with two E's) can be programmed in-circuit, with just the normal 5V power supply.  These are usually in the 28Cxx family.  The ones that need a high voltage to program are EPROMs (with one E), usually in the 27Cxx family.  These also require UV light to erase them; although for production models, there are windowless ones that are one-time programmable (OTP).  [Edit, a few days later:  AndersNielsen just pointed out that there's a W27C256 which is electrically erasable (although it still needs the higher voltages), and apparently it has been out since at least 1999.  Until now, I had never heard of a 27-family memory that could be erased electrically.]

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 6:38 am 
Offline
User avatar

Joined: Fri Aug 03, 2018 8:52 am
Posts: 746
Location: Germany
Oops my mistake!
Though I wouldn't recommend OTP ROMs for an open system like this, as it will force people to replace the whole ROM IC to update the firmware, which just creates unnecessary E-waste.


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 4:02 pm 
Offline

Joined: Sun Mar 19, 2023 2:04 pm
Posts: 137
Location: about an hour outside of Springfield
Proxy wrote:
why EEPROMs when you can just use cheap Flash chips (like the SST39SF040) that can be programmed without any special voltages? that would allow you to update the flash using the 65816 without needing an external programmer or 12V Power supply. (though obviously for the very first time you need an external programmer)

On a side note, since you plan on having an OS on this, what about an RTC and Storage (like an SD card, since they're cheap and easy to interface)?
Just storage is already very useful for loading an OS and programs without needing them to be in the ROM/Flash, /MCU or some external device. An RTC would just be an extra ontop of that.

It is more the option to have that 12v or higher rail from the USB 3.x controller we are getting from the PIC, and the PCMCIA/ISA standards want it, and RS-232 wants it, and 65SIB wants it... 12v is probably going to end up on this device, because the newest USB stuff, can hit 20v these days, so I need the system to accommodate that somehow. I will probably end up with 1.8, 3.3, 5v, 12v and the 20v in Rails overall, and need fuses/overload protection in several places. I really only want a couple of 12v rails here and there, and they may end up mostly used in the Industrial Addon Pack/Module, which is where a lot of interface hardware and ports for industrial machinew observation, programming and troubleshooting might go. We want that 12v, to program other devices with the Integrity, like if it has the module for doing that. We might get a port like 65SIB, or more likely SIP-10 or such, on the core device, the Industrial and Scientific Pack, will have a bunch of stuff.

GARTHWILSON wrote:
Proxy wrote:
why EEPROMs when you can just use cheap Flash chips (like the SST39SF040) that can be programmed without any special voltages? that would allow you to update the flash using the 65816 without needing an external programmer or 12V Power supply. (though obviously for the very first time you need an external programmer)

Note that EEPROMs (with two E's) can be programmed in-circuit, with just the normal 5V power supply. These are usually in the 28Cxx family. The ones that need a high voltage to program are EPROMs (with one E), usually in the 27Cxx family. These also require UV light to erase them; although for production models, there are windowless ones that are one-time programmable (OTP).

ah yes, thank you. again, the thoughts on 12v are due to the device having a USB-C connector most likely and needing to support 20v in and that the supporting hardware is going to do that, being able to send 12v gives rs-232 (doesnt it need 12v), ISA and PCMCIA standards, and the ability to flash other devices in the right modes or add-ons attached.

Proxy wrote:
Oops my mistake!
Though I wouldn't recommend OTP ROMs for an open system like this, as it will force people to replace the whole ROM IC to update the firmware, which just creates unnecessary E-waste.

could not agree more. might put a socket on these for the BIOSYS ROM.

Runlevel 0 may be able to run 'headless' on devices that have no monitor, such as systems like the 1541 that had 6502 in it, the OS at that runlevel, can act as a controller. Devices like a 65134 mcu should be able to run our OS at runlevel 0.

I am all for reducing e-waste and think that our PCMC/PCMP adoption of PCMCIA will repurpose countless existing cards back into service and make use of existing part supplies. One of our goals is to allow the PCMC to be used in laptops giving them additional processing power through their screens. PCMC/PCMP is a bit off topic, Ill open a thread for it later,

Update: the meeting with the chip supplier went well, mostly handshaking and introductions, I can say we have good support for a run of 1000 units any time in the near future if we advance that quickly or get funded. I think the slow and steady pace is the way to go.

I still need to review the msp430 and wdc65c265 mcu, at this point I think the PIC24/dsp33 is a clear forerunner for our Integrity platform board controller or RIOT chip. RAM (controllers), I/O, Timers. Its probably not a real RIOT unit, it is similar though and it sounds cool. So does calling a Dual Uart chip the 'DART' unit, marketability is important too, and a little chrome or wax coat is not so bad. It looks nice.
Cheers!


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 6:20 pm 
Online
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8438
Location: Southern California
The TIA-232 (usually called RS-232 which is an outdated term, but everybody understands it) specification requires the transmitter to put out a minimum of ±5V (yes, a negative voltage as well); and to get that with the specified load and possibly also a tester with LEDs on the line will require that the power supply to the transmitter be somewhat above that.  Again, that's the minimum output.  The maximum is ±15V (again at the output, and the receiver must be able to handle ±25V).  The spec also says the transmitter must be able to handle indefinite shorts to ground or to a neighboring line of the opposite polarity without damage.  The exact voltage is not critical at all, nor do the + and - voltages have to match.  9V, 10V, 12V, whatever—it doesn't matter.

Today RS-232 line drivers commonly have onboard charge pumps to derive the higher voltage from the +5V or +3.3V Vcc line.  In many cases this is a good solution; but note that the charge pumps require capacitors that cannot be accommodated on the chip itself, so the IC needs more pins, plus the outboard capacitors, meaning more board space.  If other things in the system need the higher ± voltages anyway, you can use something like the SN75C1406 (or MC145406 which is the same thing) triple line driver and receiver in a 16-pin package.

I only mentioned the OTP EPROMs in my post above to clarify that not all EPROMs have the window for erasing with UV light.  It's not that they can be erased without UV; it's that you cannot erase them at all.  They're made for production stuff where after you've sold a lot and have a lot of units in the field and you're sure the software is stable and probably won't be needing any more changes, you can start putting OTP EPROMs in subsequent production units and save money, since these in the plastic case without the window are cheaper.  They are definitely not for hobbyist applications or for development.

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 7:05 pm 
Offline

Joined: Sun Mar 19, 2023 2:04 pm
Posts: 137
Location: about an hour outside of Springfield
BigDumbDinosaur wrote:
wayfarer wrote:
ah, okay, I get how you are thinking sure. these bits put those registers in 8- or 16-bit modes.

There are no “8- or 16-bit modes”. There is emulation mode and native mode. Registers may be set to 8- or 16-bit sizes by manipulating the m and x bits in the status register. The 65C816’s operation doesn’t change due to changing register sizes. Hence it is incorrect to use the terms “8-bit mode” or “16-bit mode.”


So I was looking around the board, among other things I read that you are octogenarian. Awesome. I also read you are ex navy. You probably know this book better than I ever will

Attachment:
IMG_20230327_133935306.jpg
IMG_20230327_133935306.jpg [ 1.12 MiB | Viewed 1016 times ]

Attachment:
IMG_20230327_133950374.jpg
IMG_20230327_133950374.jpg [ 1.08 MiB | Viewed 1016 times ]

Attachment:
IMG_20230327_134017408.jpg
IMG_20230327_134017408.jpg [ 953.34 KiB | Viewed 1016 times ]

Attachment:
IMG_20230327_134036781.jpg
IMG_20230327_134036781.jpg [ 1.07 MiB | Viewed 1016 times ]


I also read some of your experiments in timing control systems for these devices. I hope to learn all I can from you.

One of those the ngs I learned in college, when I got my bachelor's degree in interdisciplinary studies, while I enjoyed my time in the ivory tower, was that words have different meanings in different areas of study. Consider the word shear, and how it means different things to a graphic designer, a geotechnical engineer and a sheep herder.
If I have somehow trampled a colloquialism, pardon my naivety. I was referring to these old apple docs I'll get you a link to that reference once I'm not on my phone. It refers to these registers in different 'modes'; even eyes and letchy on page 60 talk about addressing modes. I understand the importance of being succinct. While I disagree with Hume on many things, preserving the distinctness of language is something I do find important.

I heard you talk about your "uncle" max. I knew a guy named Howard used to go down Dallas ham meet for parts, he worked for Ti on a chip used for hard drives. He taught me PC repair. You remind me of him. I hope to learn everything I can from you, you GiantGeniusReptile.


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 7:35 pm 
Online
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8438
Location: Southern California
a little off-topic, but it's your topic and you're free to do that, so I'll bite.  I'm not as old as BDD (I'm 10 or 15 years younger—I don't remember exactly) but your book pictures stir a little nostalgia of my early electronics days of getting into amateur radio, taking apart surplus equipment for the parts, dreaming of building things in "The ARRL Handbook For The Radio Amateur," dreaming of owning some of the high-end radio equipment of the day (much of which still had vacuum tubes), communicating by Morse on CW, etc..  (For those who have not used Morse code, I will say it's faster and not nearly as sterile as most people think.  The added speed comes from using lots of abbreviations and Q codes, and it gives you more time anyway to think of how to say the same thing in fewer words.  And yes, you can tell inflections and recognize the sender's "voice" especially if they're using a straight key rather than an electronic keyer.  I was able to take it at about 30wpm and read a magazine at the same time and get most of both.  This happened when I was scanning an article we were discussing.)  This was a few years before I started getting interested in computers.

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 7:45 pm 
Offline

Joined: Sun Mar 19, 2023 2:04 pm
Posts: 137
Location: about an hour outside of Springfield
GARTHWILSON wrote:
The TIA-232 (usually called RS-232 which is an outdated term, but everybody understands it) specification ...
Today RS-232 line drivers commonly have onboard charge pumps to derive the higher voltage from the +5V or +3.3V Vcc line. ... you can use something like the SN75C1406 (or MC145406 which is the same thing) triple line driver and receiver in a 16-pin package.


I have been going through your primer, the board, wikipedia etc. I am likely to have an RS-232 adapter, and if there is a PCMCIA adapter, it will work if a driver is made. I am deeply concerned about voltage isolation on this device and how relays and fuses might be used. if off-the-shelf ICs can do this cheaply, maybe it is easier. Im also looking at how big a 9-pin Dsub connector is and I dont think I want one in the slim screen device, maybe the bigger grip unit or if it is one unit there, and its big enough for it.

Gotcha, its TIA-232 now, not a Serial Port or RS-232. Desired as is the 65SIB, likely going on the 'industrial and scientific module/backpack'; point being, Im not sure Im going to focus on this right now, rather the Logic Unit of the system.
I do need to devise a power management system. Rails, fuses, an IC smart controller etc. 1.x probably, 3.3, 5v, 12v and 'up to 20v' for the USB-C connector, is actually a lot of options, and more than I expected or wanted. Being able to spend build up driving voltage with Caps or an IC does open options, I am just aware I have to 'contend with' weird voltages I dont realy want anywhere near my 3.3 and 5 volt microcircuits.

Quote:
I only mentioned the OTP EPROMs in my post above to clarify that not all EPROMs have the window for erasing with UV light.  It's not that they can be erased without UV; it's that you cannot erase them at all.  They're made for production stuff where after you've sold a lot and have a lot of units in the field and you're sure the software is stable and probably won't be needing any more changes, you can start putting OTP EPROMs in subsequent production units and save money, since these in the plastic case without the window are cheaper.  They are definitely not for hobbyist applications or for development.

right, one E = Electric, two EEs = Electrically Erasable.
I would not begin to consider myself knowledgeable on the many types of ROM or RAM out there.
I am looking at adding sockets for some ICs. Flashing those might be a thought. For my test bed, Ill use what I can that is convenient, including flash rom on an mcu pretending to be a bios rom or whatever.

in the system, I want the factory to write them, and the user to be able to update them if needed. Or wanted, with their own boot code or whatever.

As we get closer to 'build time', I look at the 65134, and the 65265.
I would like different options for my local 'Logic Unit' I/O. Im opening a thread on the 65xx series chips, and none really seem suitable at first. However, this 'runlevel 0', might be a good choice for headless devices and controllers, or minimal display devices, like a 3d printer. Can a 65134 or 65265 run a 3d printer? an automated watering system for a greenhouse, etc?

Id like my core logic unit, to have very specific things. A 6502 or 65816 and a math co-processor. A primary I/O chip, the 'DART' unit, or dial uart etc, the BIOSYS ROM, and if possible some local dedicated RAM and/or shared VRAM access, maybe a few support chips, like the stuff to bring out the data/address multi-use byte, or the COP hardware for multiprocessing.

For multiprocessing, I seem to have two options, the "Split Clock Dual Core" design, which uses Hi and Lo PHI2, or, a distributed processing model that is more like clustering than multicores. It is possible to do both. I expect to use my 'off cycle' ram access to the GPU, or the PIC. Using a 65134, 65265 or twinning the CPU is still possible.
The GPU may have a CPU bypass, or use dual-port RAM, in our API model however, the GPU runs its own code mostly asynchronously from the CPU and may use dual port ram, and be accessed regularly by the PIC for other options.
So, it is probable, at this time (with several questions unanswered about extended RAM, paging and MMIO circuitry) that instead of a Twinned Processor on PHI, that timing cycle will be used elsewise and a multiprocessing system, which is desired, will work more like a cluster, than multicore systems today.
The COP functions are being explored, the PIC, the u-FPU and the GPU may be used for additional processing functions over time.



Top
 Profile  
Reply with quote  
PostPosted: Mon Mar 27, 2023 9:41 pm 
Online
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8438
Location: Southern California
wayfarer wrote:
and if there is a PCMCIA adapter, it will work if a driver is made.

I remember the excitement around PCMCIA when it was new in the late 1980's.  There was 64KB of battery-backed SRAM in a credit-card-sized thing, then 128KB, then 256KB, then 512KB, the a whole megabyte, and I thought, "Man alive!!  How much further is this going to go, anyway?!"  Now however you can get nearly a million times that much in a postage-stamp-sized SD card that doesn't require nearly as many connections to interface, and the PCMCIA association was dissolved in 2009.  I wouldn't design anything new around PCMCIA.  Is anyone even making any PCMCIA products anymore?

wayfarer wrote:
I am deeply concerned about voltage isolation on this device and how relays and fuses might be used.

With reasonable care, you won't have anything to worry about.  I've never had any trouble.

wayfarer wrote:
Gotcha, its TIA-232 now, not a Serial Port or RS-232.

It is a serial port, but just saying "serial port" could mean other things too, so the term is not really specific to RS-232.  They were also called COM ports on PCs.  Although PCs don't come with these anymore, you can get PCI-e plug-in boards to give several RS-232 ports, or, with probably slightly less functionality, get an FTDI USB adapter.

Quote:
right, one E = Electric, two EEs = Electrically Erasable.

One E is for "erasable," although it takes UV light to erase it unless there's another E for "electrically."  The first PROMs (programmable read-only memories) had a matrix of actual fuses, and programming involved actually blowing the fuses that made unwanted connections, to leave only the ones that were appropriate for your code or data.  Obviously that's not reversible; and it's where the terms "blowing" or "burning" came from.  We don't "blow" or "burn" them anymore.  The term "flashing" comes from programming flash memory which is a type of EEPROM that lets you program or erase groups of bytes, like 1KB, at a time, which goes much faster than waiting for the program cycle for every individual byte.

Quote:
Can a 65134 or 65265 run a 3d printer? an automated watering system for a greenhouse, etc?

I have not paid any attention to 3-D printers or how much processing power might be required there, but I suspect one of these would do fine.  As for a watering system, I'm sure there's not a microcontroller made today that lacks processing power for that.  Even 4-bit ones, if they were still being made, would probably be adequate.

Quote:
Id like my core logic unit, to have very specific things. A 6502 or 65816 and a math co-processor.

I think you mentioned one of the serially interfaced floating-point coprocessors earlier, which are a nice solution, if you really need that.  If 16-bit scaled-integer is adequate, you'll get much, much hotter performance from the large look-up tables I provide at http://wilsonminesco.com/16bitMathTables/ .  When I say "scaled-integer," most people think "fixed-point;" but note that scaled integer is more powerful and flexible than fixed-point.  Also, the tables there have all the answers there, pre-calculated, accurate to all 16 bits, so there's no interpolation needed.  In the case of the inversion (ie, 1/X), the answers are 32-bit, so you can get the full resolution over the entire range, and then division is done by multiplying by the inverse.  In the case of trig or log functions, using the tables can be hundreds of times as fast as having to actually calculate these on the fly.  When I was first introduced to scaled-integer, I was skeptical; but after using it a lot, I now prefer it for processors that don't have floating-point units and the associated data throughput speeds.  It does put a little more burden on the programmer to mind the scale factors to keep numbers in the ideal ranges, but then really improves performance without the expected penalties.  See the article there for more discussion.

Quote:
For multiprocessing [...]

You'll undoubtedly find the forum topic "Theoretical question - Multiple CPU's" and the links to further topics there helpful.

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Tue Mar 28, 2023 7:05 am 
Offline
User avatar

Joined: Wed Feb 14, 2018 2:33 pm
Posts: 1412
Location: Scotland
wayfarer wrote:

Id like my core logic unit, to have very specific things. A 6502 or 65816 and a math co-processor. A primary I/O chip, the 'DART' unit, or dial uart etc, the BIOSYS ROM, and if possible some local dedicated RAM and/or shared VRAM access, maybe a few support chips, like the stuff to bring out the data/address multi-use byte, or the COP hardware for multiprocessing.


You can use your PIC as a math co-processor. It won't be as fast as the single cycle FPGA Stefany uses in her Foenix '816 system but it will be good enough. I use the ATmega in my Ruby system for floating point stuff when its running in BCPL mode - it's also a UART and controls the bootstrap process by loading the RAM with the bootstrap code then letting the '816 run that which then communicates back to the ATmega to load the rest of the OS. There is no ROM in my Ruby boards.

-Gordon

_________________
--
Gordon Henderson.
See my Ruby 6502 and 65816 SBC projects here: https://projects.drogon.net/ruby/


Top
 Profile  
Reply with quote  
PostPosted: Tue Mar 28, 2023 2:49 pm 
Offline

Joined: Sun Mar 19, 2023 2:04 pm
Posts: 137
Location: about an hour outside of Springfield
as I explore the core logic unit, which I have considered many 65xx chips, and others, the 65134 and 65265 both seem appealing as a coprocessor, however, they end up being 'overkill' if Im going to use a PIC, and really do not quite work as I'd like. My GPU currently is a Bridgetek at 60 MHz with a 12MHz clock/oscillator, so 12MHz becomes a really important speed to reduce timing line confusion and such. to KISS (Keep It Safe & Simple), I want as few clocks as possible. My other choices are a 4D systems 16bit controller like Picasso, Goldilocks or Diablo.
The board controller, is going to most likely be a PIC24, though an msp430 or '265 are good choices as well and may get drivers for the OS side.

I really need to understand the COP pin and latches of the 65xx series chips. I am more interested in the cell type architecture described, though Im not certain that is exactly what Im after. I really like the um-FPU, and I do like the 16-bit scalar math tables, that's a slide rule in an age of calculators from what I read. it might be worth including if the BIOSYS ROM has extra room, however, once we talk about 32, or 64 bit maths, and the kinds of things offered, I think its nice to have a dedicated unit. Id like to do calculus and statistics on this device, complex systems analysis and adaptive resonance theory type stuff. If I am going to market to industry and education, having one serious calculator is not only a good selling point, its a feature I would enjoy having, and, it helps teach that 'is this worth doing' optimization, and, 'using chips of different sizes' techniques I want to employ and offer my users.

yes, look up tables are great, so is fast-inverse-square-root. Im not 100% I want the um-FPU, ideally, the 65816 or whatever can have any other chip in the system act as its coprocessor when Im done, so if the PIC24 ends up being a dsp and you want to use the 40-bit barrel shifter for something, then you can do that. I might have both tables and a coprocessor. Im also looking at some 'texture and pattern'' fonts on rom for visual use, like common architectural fills. etc.

clearly, there are two main breakout areas of the board to examine next, the power control unit, and the core logic unit, which should start the OS development itself. one thing at a time, the logic unit first


Top
 Profile  
Reply with quote  
PostPosted: Tue Mar 28, 2023 3:00 pm 
Offline
User avatar

Joined: Mon Aug 30, 2021 11:52 am
Posts: 269
Location: South Africa
Just a thought on co-processors and look-up tables.

Using an '816 I've discovered I have about 384KB in a several megabyte system that I didn't know what to do with. I also wanted a co-processor. I could find no off-the-shelf co-processor that fits the '816 so, for me, it was a simple decision to use that unused RAM as a co-processor by packing with with lookup tables. There's plenty of notes on this in Garth's primer and even more on the internet in general.

Also because I can either store these tables on an SD card or write the code to generate them if I ever *really* need to use that RAM for something then I can just trash the tables and put them back when I'm done.

You're mileage may vary.


Top
 Profile  
Reply with quote  
PostPosted: Tue Mar 28, 2023 5:08 pm 
Offline

Joined: Sun Mar 19, 2023 2:04 pm
Posts: 137
Location: about an hour outside of Springfield
I have a slide rule in my desk, a Ti-83 in a package with the full size manual for it as my 'in case of the end of the world open math kit'.

I am really likely to drop the 16-bit tables on a ROM if there is room, for one, using them in recovery mode when you might not want to use the FPU, or if the FPU is crunching a big number etc.

The PIC has 17x17 multiply and a few other fancy registers and functions, I think it could really make use of the tables if need be, its going to be busy handling I/O, sorting interrupts from its multiple-priority setup, to the IRQ and NMI signals the CPU has; and otherwise 'running the hardware and board', it is taking the place of a RIOT chip, its got the timers, the I/O, interrupt stack, its going to basically just be running its driver code. So having the look up tables there also makes sense as it will probably take a lot of clock cycles.

The GPU is 32-bit registers in many places, and I need to look further at what math it can do. I am not expecting a lot of 3d rendering on the device, though simple things may be possible if the polygon count is low and shading is simple. I once did a contract on a game boy advance game in college that used vertex shaded 3d graphics for environment pieces. It is very possible in the next year or so, the gpu may support a subset of openGL. It is close already with its fan drawing routines.

The biggest appeal to me, is the ability to crunch big data on a small device. I am hoping to get a really good calculator going and make it accessible to the user. A lot of 'build a computer' kits out there end with 'hello world', and the ICs are unused, a novelty.

The Integrity is a daily use computer. It plays games, makes music, has e-books, runs code, and has an incredible programmable calculator.
I am hoping to attract some Open Source developers and get a community going, so right now, that is you all.
I see no reason to not include both a slide rule, and an antikythera fpu, and a straight edge and compass (divider)... maybe a string, strings are really useful when building... in our fancy Relic technology bridge.


Top
 Profile  
Reply with quote  
PostPosted: Tue Mar 28, 2023 7:56 pm 
Online
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8438
Location: Southern California
Quote:
I have a slide rule in my desk

I'll make this brief, since it's kind of off-topic:  I have a page about my slide rules at http://wilsonminesco.com/SlideRules/SlideRules.html .

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Wed Mar 29, 2023 7:49 am 
Offline
User avatar

Joined: Wed Feb 14, 2018 2:33 pm
Posts: 1412
Location: Scotland
wayfarer wrote:
The Integrity is a daily use computer. It plays games, makes music, has e-books, runs code, and has an incredible programmable calculator.


You've just described my mobile phone. It can also send messages via a variety of means, I can tun SSH from it and it has wi-fi, bluetooth, acts as a mobile hot-spot, has a torch, multiple cameras for stills and video, fits in my pocket, runs for 3-4 days on a charge, full colour multi-touch screen, plays videos and podcasts and a million more things should I care. It cost me £130.

A retro equivalent with bare minimal features would be a novelty but it would not be by daily use computer by any stretch.

wayfarer wrote:
I am hoping to attract some Open Source developers and get a community going, so right now, that is you all.


It might be worth while having a look at the open source "community" right now.

And look very closely at other similar projects that feature the '816 - Foenix, Neon816 ... Are the only 2 in recent times I know of. Also in the 6502 world; the Commander X16, Mega65, Various PET clones, ... and see how they're getting on and what their communities are up to.

My suspicions are that the open source community won't help you much although you may get one or 2 enthusiasts but for how long? I used to be quite active but after receiving no support from the people I was supporting and worse, demands that I support them for free, (which at one point resulted in one 10,000 email for one particular project), I withdrew everything and decided that if people want my code they can pay me for it. No-one did.

And I know I'm not the only one in recent years this has happened to.

Quote:
I see no reason to not include both a slide rule, and an antikythera fpu, and a straight edge and compass (divider)... maybe a string, strings are really useful when building... in our fancy Relic technology bridge.


Don't forget a box of these too: https://www.discworldemporium.com/produ ... pills-box/ :-)

-Gordon

_________________
--
Gordon Henderson.
See my Ruby 6502 and 65816 SBC projects here: https://projects.drogon.net/ruby/


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 55 posts ]  Go to page Previous  1, 2, 3, 4  Next

All times are UTC


Who is online

Users browsing this forum: No registered users and 8 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: