6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Fri May 10, 2024 5:28 am

All times are UTC




Post new topic Reply to topic  [ 34 posts ]  Go to page 1, 2, 3  Next
Author Message
PostPosted: Sun Nov 27, 2022 12:39 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Hi all,
I'd really appreciate it if you could take a second and look at these schematics for any goofs I've made and did not catch


Attachment:
Tinderbox_SCH.PDF [25.84 KiB]
Downloaded 36 times





Thank you!

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 1:02 am 
Offline

Joined: Sat Oct 09, 2021 11:21 am
Posts: 704
Location: Texas
Am I missing something? I see sheet 5/5 but nothing before it. Maybe it's just me?

Chad


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 1:25 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
sburrow wrote:
Am I missing something? I see sheet 5/5 but nothing before it. Maybe it's just me?

Chad


oops wrong file!
heres the right one:


Attachments:
Tinderbox_SCH.PDF [112.25 KiB]
Downloaded 40 times

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)
Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 2:28 am 
Offline

Joined: Sat Oct 09, 2021 11:21 am
Posts: 704
Location: Texas
So some small things that I notice:

1) Sheet 2/5 is way overly complicated with the wires going all over the place. Notice particularly particularly the databus from the '816 to '14 and '245. Wow! I would much rather see labels or a thick bus line or something. Also the top side of the '816 has wires going everywhere, I can't tell what is what.

2) So, I was just looking at something like the BE line on the '816 and it goes through a 4.7K resistor, then goes... out somewhere? I eventually found that it led to VCC, which is good, but if you were to just put some VCC labels nearby, that would be much easier than running a line all around just to lead to VCC or GND or something so common.

3) I don't see a "hey this is what I'm thinking this will do". A summary would be nice, maybe I missed that on a different topic perhaps. Some kind of theory of operation and/or memory map would help.

4) I see you are using a 6551, which is fine, but I particularly cannot help on that at all. I know others here know much more about it's operation. On that same page, you are using some diodes in series with the B-databus, is that some diode logic? If so, seeing all the other chips you are using, why not just use chips for that too? They seem to lead to a '138.

5) I see some 74LS chips in there, some 74HCT chips, some 74AC, and one 74HC chip in there. Was that intentional? Because those... don't easily mix.

That's the best I can offer. I am by far not an expert at all, perhaps my asking questions or being confused is good enough to get something moving though.

Chad


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 2:49 am 
Offline
User avatar

Joined: Tue Mar 05, 2013 4:31 am
Posts: 1373
Well, for starters, I would suggest you start with an overview of what you're attempting to build. Start with a description of the hardware, i.e., CPU, memory, I/O devices, describe the memory map and I/O decode, etc. Also, describe parts of the actual schematic pages, what they are, etc.

Some examples. Page 5 shows 8-connectors (perhaps) labeled as jumper 2. Are these expansion ports?? If so, label them as such. If they are expansion ports, there's not ground or power, but perhaps the other 2-pin jumpers are part of the expansion ports?? Also, Page 4 seems quite barren... just a power connector with 3 different voltages? Page 3, what is J2? As an outsider, it's not that clear what you're attempting to build here.

Looking at Page 2, the diode marked as D2 is backwards, meaning it won't conduct and give you an actual reset signal. Also, 10MHz might be a bit hopeful, as there's no specifics on the memory devices, however, the standard and available AT28C256 EEPROM is a 150ns access memory chip, so 10MHz is not realistic.

It's also a very heavy chip count... is this a first blush at coming up with a design? Designing a system with the 65C816 is a bit more involved than the 65C02. I would recommend you look at some existing designs that use the 65C816... BDD's POC series at a minimum.... not to mention that WDC offer a SXB that uses the 65C816. If you've not designed and built any other similar systems before, I would suggest a simpler system at first. Also note that the current W65C51 ACIA has a defect concerning the status register transmit bit, so any existing code that uses it (which is pretty much every piece of code ever written for the 6551) won't work.

Another gotcha... your RAM select is using A15 and inverting it as a chip select. That will select memory starting at $8000. Perhaps you want it to be selected at $0000. If that's the case, just use A15 as the chip select (eliminate U15b). As it is, it will be selected when your ROM select is active, hence a contention on the bus.

_________________
Regards, KM
https://github.com/floobydust


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 4:28 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Alright., here's what i have so far:
Attachment:
Tinderbox_SCH.PDF [257.02 KiB]
Downloaded 33 times

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 27, 2022 5:32 am 
Offline
User avatar

Joined: Thu May 28, 2009 9:46 pm
Posts: 8178
Location: Midwestern USA
Kuzailongmane wrote:
Alright., here's what i have so far:
Attachment:
The attachment Tinderbox_SCH.PDF is no longer available

I'd like to help, but I can't read schematics with color in them.

Meanwhile, here's something for you to look at as a working reference design.
Attachment:
File comment: POC V1.3 Schematic
pocv130.pdf [344.54 KiB]
Downloaded 38 times
The above unit has 128KB of RAM, 12KB of ROM, four serial ports and is built entirely with 74AC and 74ACT discrete logic. It runs at 16 MHz.

_________________
x86?  We ain't got no x86.  We don't NEED no stinking x86!


Top
 Profile  
Reply with quote  
 Post subject: Schematic updates
PostPosted: Thu Jan 19, 2023 12:53 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Hey all,

updated the schematics and cleaned them up a bit,
still looking for feed back and ideas.

thanks!


Attachments:
Tinderbox_SCH.PDF [1.23 MiB]
Downloaded 30 times

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)
Top
 Profile  
Reply with quote  
 Post subject: Re: Schematic updates
PostPosted: Thu Jan 19, 2023 1:11 am 
Offline
User avatar

Joined: Tue Oct 25, 2016 8:56 pm
Posts: 360
(You'll want to post a greyscale version of the schematic, or a grumpy BDD will tell you off.)

Feedback:
  1. Can I suggest bus lines for your address and data busses, to make the schematic easier to read.
  2. You could use a DS1818 (or something like it) as a single component replacement for your 555-timer monostable reset controller.
  3. I note you're using the 74AC logic family. Generally on this forum we recommend the 74AHC family instead: it has the same fast propagation times, but has gently edges which are less prone to signal integrity problems.
  4. The E pin on the '816 is an output and doesn't need a pull-up.
  5. Some of your memory/rom decoding looks... strange. Do you have an intended memory map we can check against, please?
  6. ABORT and RDY on the '816 should have pull-up resistors on them if you're not using them.
  7. Re part U25a, and the note next to it; whilst there's certainly no theoretical problem with using a ROM to decode addresses, I worry that said ROM may not be fast enough - they usually aren't - especially given your 5MHz clock speed.
  8. X6, the ACIA: there is a nasty bug in the current production run of the WDC ACIAs that make them hard to use for transmitting without tying up your application in a busy-loop. Not impossible to work around, but worth knowing, especially as there are plenty of other UARTs available that are bug free and more capable anyway. Also I believe the current production run of ACIAs require a 1M resistor across XTAL1 and XTAL2 pins. Both the bug and 1M are mentioned in the Errata at the end of the latest version of the ACIA datasheet.

(apologies if any of the above is stuff that's already been covered - this is the first time I've laid eyes on any version of your design)

_________________
Want to design a PCB for your project? I strongly recommend KiCad. Its free, its multiplatform, and its easy to learn!
Also, I maintain KiCad libraries of Retro Computing and Arduino components you might find useful.


Top
 Profile  
Reply with quote  
 Post subject: Re: Schematic updates
PostPosted: Thu Jan 19, 2023 1:27 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Alarm Siren wrote:
(You'll want to post a greyscale version of the schematic, or a grumpy BDD will tell you off.)

Feedback:
  1. Can I suggest bus lines for your address and data busses, to make the schematic easier to read.
  2. You could use a DS1818 (or something like it) as a single component replacement for your 555-timer monostable reset controller.
  3. I note you're using the 74AC logic family. Generally on this forum we recommend the 74AHC family instead: it has the same fast propagation times, but has gently edges which are less prone to signal integrity problems.
  4. The E pin on the '816 is an output and doesn't need a pull-up.
  5. Some of your memory/rom decoding looks... strange. Do you have an intended memory map we can check against, please?
  6. ABORT and RDY on the '816 should have pull-up resistors on them if you're not using them.
  7. Re part U25a, and the note next to it; whilst there's certainly no theoretical problem with using a ROM to decode addresses, I worry that said ROM may not be fast enough - they usually aren't - especially given your 5MHz clock speed.
  8. X6, the ACIA: there is a nasty bug in the current production run of the WDC ACIAs that make them hard to use for transmitting without tying up your application in a busy-loop. Not impossible to work around, but worth knowing, especially as there are plenty of other UARTs available that are bug free and more capable anyway. Also I believe the current production run of ACIAs require a 1M resistor across XTAL1 and XTAL2 pins. Both the bug and 1M are mentioned in the Errata at the end of the latest version of the ACIA datasheet.

(apologies if any of the above is stuff that's already been covered - this is the first time I've laid eyes on any version of your design)





My software is REALLY Buggy and doesn't behave well when using buss lines, the connections either have to be point to point labels or wire if you want a working PCB to be generated.


i will in update the parts to be the appropriate family and change the 4 bit ram to something like a GAL8V16.

I'm kind of stuck on chaining the ACIA as all my monitor Software relies on this chip to function.

My planned memory map is as follows:
$0-$7FFF RAM
$8000-$9FFF I/o
$A000-$BFFF Propeller 2 Vga Interface ( not in schematic Yet)
$C000-$FFFF 16k bootstrap and BIOS ROM PAGE
$10000-$7FFFF Addon prts 0-7
$80000-BBFFFF Rest of flash rom
$BC000-$FFFFF space for addon ram (connector/ decoding logic not shown)



Hope this helps

Kuzai


EDIT: Thanks to whomever linked the tow post i made about my schematics, if someone could please tell me how to this for the future, That would be Awesome!

Thank you all for putting up with my messy schematics.

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
PostPosted: Thu Jan 19, 2023 2:55 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Updates Continue on the Schematic:
Attachment:
Tinderbox_SCH.PDF [1.27 MiB]
Downloaded 21 times


And To make BDD happy:
Attachment:
Tinderbox_SCH_GRAYSCALE.PDF [1.27 MiB]
Downloaded 28 times

Also attached is the INCOMPLETE Flow Chart of The tinderbox's operation:
Attachment:
FLOWCHART.txt [1.85 KiB]
Downloaded 22 times

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
PostPosted: Thu Jan 19, 2023 3:07 am 
Offline
User avatar

Joined: Thu May 28, 2009 9:46 pm
Posts: 8178
Location: Midwestern USA
I can't make head or tail of the schematic. Sorry.

_________________
x86?  We ain't got no x86.  We don't NEED no stinking x86!


Last edited by BigDumbDinosaur on Thu Jan 19, 2023 3:20 am, edited 1 time in total.

Top
 Profile  
Reply with quote  
PostPosted: Thu Jan 19, 2023 3:10 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
How so?

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
PostPosted: Thu Jan 19, 2023 4:38 am 
Offline
User avatar

Joined: Fri Aug 03, 2018 8:52 am
Posts: 746
Location: Germany
oof, there are so many overlapping lines and components, weird bends, text going off the side of the screen, and random X symbols on the connections.

if you say that the software you're using is really buggy, why not use something else? something more functional and readable like KiCad or EAGLE for example?


Top
 Profile  
Reply with quote  
PostPosted: Thu Jan 19, 2023 4:53 am 
Offline

Joined: Fri Nov 25, 2022 3:52 am
Posts: 20
Im using target 3001! As my software bc my pc is a dell dimensions 4600 running windows XP Pro sp3 with a pentium 4 and 256mb of ram. It just wont run anything else and i refuse to draw them by hand.
some of the goofyness is having to do with my export settings, (i think) so ill loook at those.

_________________
It takes a truly intelligent person to know that there's always more to learn
Kuzai Longmane (They/Them)


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 34 posts ]  Go to page 1, 2, 3  Next

All times are UTC


Who is online

Users browsing this forum: No registered users and 8 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: