6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Mon Apr 29, 2024 3:21 pm

All times are UTC




Post new topic Reply to topic  [ 4 posts ] 
Author Message
PostPosted: Wed Oct 09, 2019 8:13 am 
Offline
User avatar

Joined: Wed Feb 13, 2013 1:38 pm
Posts: 586
Location: Michigan, USA
Does anyone know if you can use the clock signal supplied to the Rockwell Φ0(in) pin (pin 37) as the system PHI2 clock as WDC recommends for systems using their W65C02 CPU? I'd like to test this on a solder-less breadboard, something similar to Ben Eater's Build a 6502 computer project, but it will take a while to wire up...

Cheerful regards, Mike


Attachments:
Beater 01.png
Beater 01.png [ 305.17 KiB | Viewed 801 times ]
bb2.png
bb2.png [ 1.01 MiB | Viewed 839 times ]
bb1.png
bb1.png [ 545.4 KiB | Viewed 839 times ]


Last edited by Michael on Sun Oct 20, 2019 5:04 pm, edited 14 times in total.
Top
 Profile  
Reply with quote  
PostPosted: Wed Oct 09, 2019 9:09 am 
Offline
User avatar

Joined: Fri Aug 30, 2002 1:09 am
Posts: 8428
Location: Southern California
Φ0 is the same thing as Φ2 in. Φ2 out will be a bit delayed from there. So to answer your question more directly, yes, pin 37 of the DIP is the same between Rockwell and WDC.

Please see the 6502 primer though. You have various things in your schematic that could cause problems, and we want you to have the best chance at success.

_________________
http://WilsonMinesCo.com/ lots of 6502 resources
The "second front page" is http://wilsonminesco.com/links.html .
What's an additional VIA among friends, anyhow?


Top
 Profile  
Reply with quote  
PostPosted: Tue Nov 12, 2019 7:08 pm 
Offline
User avatar

Joined: Wed Feb 13, 2013 1:38 pm
Posts: 586
Location: Michigan, USA
Haven't had much time to spend on this but I am making progress. Only a dozen or so wires left to install.

If anyone still sees a problem with the updated schematic in the first post, would you let me know, please?

TIA... Cheerful regards, Mike


Attachments:
bb3.jpg
bb3.jpg [ 343.67 KiB | Viewed 768 times ]
Top
 Profile  
Reply with quote  
PostPosted: Wed Nov 13, 2019 2:55 am 
Offline
User avatar

Joined: Fri Dec 12, 2008 10:40 pm
Posts: 1000
Location: Canada
In my experience, which has recently (last couple of years) been kicked into over-drive by the best minds here, yes. Φ0 is the real clock and if you design to use Φ0 for your system clock (even into those 65XX IC's that have a Φ2 input) it will work with all 6502 variants including the latest 14+ MHz items from WDCfeeding .

_________________
Bill


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 4 posts ] 

All times are UTC


Who is online

Users browsing this forum: Google [Bot] and 34 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: