6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Thu May 09, 2024 11:08 pm

All times are UTC




Post new topic Reply to topic  [ 1 post ] 
Author Message
PostPosted: Fri Mar 20, 2020 11:21 am 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10800
Location: England
Here's the blog post:
https://8bitworkshop.com/blog/verilog/t ... er.md.html

The project uses the 8bitworkshop IDE (in-browser verilog simulation) and Arlet's 6502 core, with a subsetted PIA as the interface to keyboard and display - the display being modelled on the original shift-register based video terminal.

Quote:
Woz wrote a 256-byte monitor program, commonly referred to as WozMon. It's a simple utility which allows the operator to inspect RAM, modify RAM, and execute code in RAM or ROM. We've written our own version called MangoMon.


In closing:
Quote:
You can check out the source code in GitHub, or you can play around with it in 8bitworkshop.

Also check out our book "Designing Video Game Hardware in Verilog" where we design an entire game system using a custom 16-bit CPU.


via Yellow Rabbit on Mastodon


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 1 post ] 

All times are UTC


Who is online

Users browsing this forum: No registered users and 3 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: