6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Tue May 14, 2024 1:12 pm

All times are UTC




Post new topic Reply to topic  [ 32 posts ]  Go to page 1, 2, 3  Next
Author Message
PostPosted: Thu Nov 16, 2017 5:20 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
Hi All

I have started a blog series on creating a C64 system on an FPGA.

Here is the link:

http://c64onfpga.blogspot.co.za/2017/11 ... ction.html

Will keep you posted on new posts.


Top
 Profile  
Reply with quote  
PostPosted: Thu Nov 16, 2017 5:59 pm 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10800
Location: England
Subscribed!


Top
 Profile  
Reply with quote  
PostPosted: Fri Nov 17, 2017 5:16 am 
Offline

Joined: Thu Oct 05, 2017 2:04 am
Posts: 62
Looks like a cool project. Good Luck! You might consider adapting my MCL65 core which has passed all of the tests you described and was used to power a Commodore VIC-20, Atari-2600, and an Apple II+.

http://www.microcorelabs.com/mcl65.html


Top
 Profile  
Reply with quote  
PostPosted: Fri Nov 17, 2017 3:04 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
Just published my next post. In this one I have decided to linger a bit on some Verilog basics, just to get everyone aboard:

http://c64onfpga.blogspot.co.za/2017/11 ... asics.html


Top
 Profile  
Reply with quote  
PostPosted: Sat Nov 18, 2017 11:38 pm 
Offline

Joined: Sat Sep 02, 2017 8:20 pm
Posts: 21
Link for FPGA implementation of a C64 by Peter Wendrich.
T65 is another 6502 core; it's VHDL though. I'm using it for my Retro-uC and have a fork on my gitlab.


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 19, 2017 1:17 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
Hi Fatsie.

Thanks for the links. Very interesting project indeed.

One thing I am just curious about Wenrich's fpga implementation is that he mentions that the latest version he cannot release the source code because it contains source code from the Chameleon project.

Any idea what this project is about?


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 19, 2017 1:45 pm 
Offline

Joined: Sat May 02, 2015 6:59 pm
Posts: 134
Turbo Chameleon 64 is an Altera EP3C25 FPGA along with RAM, crammed into a C64 cartridge.
It was originally a VGA output cartridge, but was so overpowered that many other features came along.
It can run standalone without being connected to a C64, it can even run an Amiga core.

Lots'a'links.
https://icomp.de/shop-icomp/en/produkt- ... on_64.html
http://www.syntiac.com/chameleon.html
http://wiki.icomp.de/wiki/Chameleon
https://www.c64-wiki.com/wiki/Turbo_Chameleon_64

And a video of it being an Amiga.
https://www.youtube.com/watch?v=kb-iT2LkrLs


Top
 Profile  
Reply with quote  
PostPosted: Mon Nov 20, 2017 3:17 pm 
Offline
User avatar

Joined: Thu Jun 23, 2011 2:12 am
Posts: 229
Location: Rancho Cucamonga, California
Interesting!

I may have to port that to one of my FPGA boards (I have several of them because I maintain the P1V Virtual Propeller project on Github). I never had a C64 :-)

===Jac


Top
 Profile  
Reply with quote  
PostPosted: Mon Nov 20, 2017 4:53 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
The Turbo Chameleon sounds like an awesome device.

The only thing is it looks like the fpga design can only be used on altera fpga's because of some functional blocks incorporated in a binary.


Top
 Profile  
Reply with quote  
PostPosted: Mon Nov 20, 2017 5:14 pm 
Offline

Joined: Sat May 02, 2015 6:59 pm
Posts: 134
Which sources are you looking at? As far as I'm aware, Turbo Chameleon is closed source.

The original FPGA64 project ran on a Xilinx Spartan-3
First paragraph on this page "History".
http://www.syntiac.com/c_one.html


Top
 Profile  
Reply with quote  
PostPosted: Mon Nov 20, 2017 5:54 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
I was following the following link from fatsie: http://www.syntiac.com/fpga64.html.

Newest version of fpga is only binary because it contains chamelon sources


Top
 Profile  
Reply with quote  
PostPosted: Tue Nov 21, 2017 2:55 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
I have just released my next post:

http://c64onfpga.blogspot.com/2017/11/s ... ystem.html


Top
 Profile  
Reply with quote  
PostPosted: Sun Nov 26, 2017 3:34 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
Just released my next post in the series:

http://c64onfpga.blogspot.co.za/2017/11 ... -fpga.html

In this post we develep the FPGA implementation capable of running the Test Suite.


Top
 Profile  
Reply with quote  
PostPosted: Wed Dec 13, 2017 12:07 pm 
Offline

Joined: Wed Apr 27, 2016 2:15 pm
Posts: 141
Location: South Africa
Just an update. I released two posts since my last notification in this thread:

http://c64onfpga.blogspot.com/2017/12/p ... ortex.html
http://c64onfpga.blogspot.com/2017/12/b ... ystem.html


Top
 Profile  
Reply with quote  
PostPosted: Wed Dec 13, 2017 12:42 pm 
Offline

Joined: Sat May 02, 2015 6:59 pm
Posts: 134
Just watch out that casex doesn't bite you in the ****.

It's designed to match on an UNKNOWN condition, and can lead to problems in the synthesized design that never show up in simulation.
This paper give a good explanation of the issues with casex (page 9).
http://www.lcdm-eng.com/papers/snug99_rtl_coding.pdf


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 32 posts ]  Go to page 1, 2, 3  Next

All times are UTC


Who is online

Users browsing this forum: No registered users and 2 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: