6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Tue May 07, 2024 9:39 am

All times are UTC




Post new topic Reply to topic  [ 47 posts ]  Go to page 1, 2, 3, 4  Next

Best for beginners?
XC9536 50%  50%  [ 6 ]
EPM7064 17%  17%  [ 2 ]
Other 33%  33%  [ 4 ]
Total votes : 12
Author Message
 Post subject: Beginners CPLD
PostPosted: Thu Dec 01, 2011 4:48 am 
Offline
User avatar

Joined: Mon Aug 08, 2011 2:48 pm
Posts: 808
Location: Croatia
Well, i decided to try out this fantastic invention at last...
(The thought that i could put all that messy logic in one ic for my sbc projects instead of soldering lots of logic ic convinced me that this is the way to go...)
I had never before used or touched a CPLD, but i am familiar with VHDL, from my digital logic class...
I've found XC9536 cpld on ebay, and is kinda cheap, and the sockets are too. But it turns out i can't use any regular cheap usb jtag for it. But i do have a genuine parallel port on my pc, and i could build one of toes parallel jtags, but i just need a schematic. Now if you could comment the XC9536, and as an alternative, but a bit more expensive i found the Altera EPM7064.


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 7:19 am 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10799
Location: England
I've voted for Xilinx, but only because it worked for us, and it's the only one I know. That might be normal: most people will only be familiar with the single supplier they first picked.

I'd be interested to hear more detail from any other voter, especially from anyone voting 'other' - the stability and usability of the tools are very important, and Xilinx is good there.

I thought the sockets for PLCC devices were expensive, but indeed just over £1 for a through-hole PLCC44 socket.

This page has a good walk through of a first CPLD project using xilinx, all on stripboard/project board, including making up a parallel cable adapter. (The Xilinx tools work the same on Windows and Linux - this page happens to talk about Ubuntu.)

Once you have the adapter and the tools, the move from CPLD to FPGA, if you ever want to make it, is seamless. At least it is for Xilinx.

Cheers
Ed


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 1:39 pm 
Offline
User avatar

Joined: Fri Aug 30, 2002 9:02 pm
Posts: 1684
Location: Sacramento, CA
I too like the xilinx chips. I found this on ebay

http://www.ebay.com/itm/120803095352

its $15 from Hong Kong. I bought a similar one way back when. You can also search for "xilinx jtag" and find many others as well.

The schematic for a parallel port programmer can be found in this document:

http://www.xilinx.com/support/documenta ... xtp029.pdf

Hope this helps!

Daryl


Last edited by 8BIT on Thu Dec 01, 2011 9:27 pm, edited 1 time in total.

Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 2:20 pm 
Offline
User avatar

Joined: Sun Nov 27, 2011 12:03 pm
Posts: 229
Location: Amsterdam, Netherlands
Voting Xilinx or Altera is only fair when you have experience with both, and I don't think many people do. The picture painted by what I read on-line, e.g. in several forums, is that Altera development software is considerably more solid than that of Xilinx.


Top
 Profile  
Reply with quote  
 Post subject: Beginners CPLD
PostPosted: Thu Dec 01, 2011 3:33 pm 
Offline
User avatar

Joined: Thu May 28, 2009 9:46 pm
Posts: 8176
Location: Midwestern USA
I'm partial to Atmel. I'm planning on using the 1508 series in POC V2 when I get to it. WinCUPL works well as a development tool, although it does have some bugs.

_________________
x86?  We ain't got no x86.  We don't NEED no stinking x86!


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 7:17 pm 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10799
Location: England
Windfall wrote:
Voting Xilinx or Altera is only fair when you have experience with both, and I don't think many people do.
That's a reasonable position, but too late for me, sorry!
Quote:
The picture painted by what I read on-line, e.g. in several forums, is that Altera development software is considerably more solid than that of Xilinx.
Interesting! I had the impression it was the other way around, but that's from 3 or 4 years ago (maybe more.) Certainly I've had no problem, on both Windows and Linux.

The quality of support forums would be another consideration.

BDD, do you have one or two bullet point reasons for preferring Atmel, or is it a gut feel thing?

Cheers
Ed


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 8:05 pm 
Offline
User avatar

Joined: Tue Mar 02, 2004 8:55 am
Posts: 996
Location: Berkshire, UK
I bought some ATMEL ATF1504 CPLDs for glue logic a while back but haven't got around to using them yet. The simpler ATF16V8 and ATF20V10 have been enough so far.

_________________
Andrew Jacobs
6502 & PIC Stuff - http://www.obelisk.me.uk/
Cross-Platform 6502/65C02/65816 Macro Assembler - http://www.obelisk.me.uk/dev65/
Open Source Projects - https://github.com/andrew-jacobs


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 8:14 pm 
Offline
User avatar

Joined: Mon Aug 08, 2011 2:48 pm
Posts: 808
Location: Croatia
Thanks for the links, i think i will go for the XC9536 for now, and i am going to try to build my own lpt jtag... But which program do i use for editing/programming the XC9536?


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 8:42 pm 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10799
Location: England
For all the xilinx parts, you use ISE - the free version is called 'webpack'. It's a huge download. You will need a (free) machine-specific license from them, and for that you need to register using an email address from a company (or an institution) - gmail won't be accepted. I've never had any spam from them though.

Cheers
Ed


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Thu Dec 01, 2011 10:21 pm 
Offline
User avatar

Joined: Mon Aug 08, 2011 2:48 pm
Posts: 808
Location: Croatia
I managed to register with my student email, i hope it will work...


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Fri Dec 02, 2011 1:17 am 
Offline

Joined: Mon Mar 02, 2009 7:27 pm
Posts: 3258
Location: NC, USA
Windfall wrote:
... The picture painted by what I read on-line, e.g. in several forums, is that Altera development software is considerably more solid than that of Xilinx.

I've had problems in the past with Xilinx ISE10.1 when working with the Spartan 2 but much less so with ISE13.2 using the Spartan 3 and 6 families. Although I do use 'legacy' schematic entry for top_level, also for 32bit address decoding, so this may be to blame, but no problems in my current Spartan 6 FPGA design.

Still, I am of the mind that supporting 1 company without investigating another does not serve the competitive edge which brings us all, 'end users', better products.

Also, I and some others here are very disappointed in Atmel, regarding their GAL22V10. I won't bring it up again here, but there's a common observed problem of programming their proprietary GAL IC.


Top
 Profile  
Reply with quote  
 Post subject: Beginners CPLD
PostPosted: Fri Dec 02, 2011 6:34 am 
Offline
User avatar

Joined: Thu May 28, 2009 9:46 pm
Posts: 8176
Location: Midwestern USA
BigEd wrote:
BDD, do you have one or two bullet point reasons for preferring Atmel, or is it a gut feel thing?

Partially a gut feeling and partially due to familiarity with WinCUPL and being able to simulate fairly complicated logic in it without incurring too steep a learning curve.

I've struggled trying to make some sense of Xilinx's ISE and have found its workflow doesn't mesh well with my thought processes. As the time I have available to me to play with this stuff is limited, I can't see investing much of it into something with which I can't be comfortable. I set aside ISE nearly a year ago and probably won't look at it again.

_________________
x86?  We ain't got no x86.  We don't NEED no stinking x86!


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Fri Dec 02, 2011 7:02 am 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10799
Location: England
Thanks!

Every now and again I'm reminded that I use the world's worst hash function. I have confused Atmel and Altera! It looks like Atmel make only CPLDs, but Altera make a range from CPLD to FPGA (as do Xilinx, and indeed Lattice.)


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Sat Dec 03, 2011 2:41 am 
Offline
User avatar

Joined: Thu May 28, 2009 9:46 pm
Posts: 8176
Location: Midwestern USA
BigEd wrote:
Thanks!

Every now and again I'm reminded that I use the world's worst hash function. I have confused Atmel and Altera! It looks like Atmel make only CPLDs, but Altera make a range from CPLD to FPGA (as do Xilinx, and indeed Lattice.)

That's the trouble with two names that share the same vowels and some consonants. :)

Atmel also makes GALs, which are handy for small logic projects, but good luck finding a programmer that will work with them. I snagged some Lattice GALs off eBay a while ago and although I have no immediate need for them, I figured I might as well keep a few around in case a need does arise.

_________________
x86?  We ain't got no x86.  We don't NEED no stinking x86!


Top
 Profile  
Reply with quote  
 Post subject:
PostPosted: Sat Dec 03, 2011 2:58 am 
Offline
User avatar

Joined: Mon Aug 08, 2011 2:48 pm
Posts: 808
Location: Croatia
Well, i bought four XC9536, and sockets. I also managed to install ISE, now i just need to figure out how to transfer the program to the cpld using the lpt port...


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 47 posts ]  Go to page 1, 2, 3, 4  Next

All times are UTC


Who is online

Users browsing this forum: No registered users and 3 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to: