6502.org Forum  Projects  Code  Documents  Tools  Forum
It is currently Fri Jun 07, 2024 12:01 am

All times are UTC




Post new topic Reply to topic  [ 91 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7  Next
Author Message
PostPosted: Mon Jun 07, 2021 1:59 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
Do you see any dropped characters?

Dave


Dave,

Nope. Not working. And, I keep getting this particular warning:

Quote:
[DRC REQP-1839] RAMB36 async control check: The RAMB36E1 cpu_addr_reg_rep_0 has an input control pin cpu_addr_reg_rep_0/ADDRARDADDR[13] (net: cpu_addr_next[12]) which is driven by a register (cpu_alpha/state_reg[0]) that has an active asychronous set or reset. This may cause corruption of the memory contents and/or read values when the set/reset is asserted and is not analyzed by the default static timing analysis. It is suggested to eliminate the use of a set/reset to registers driving this RAMB pin or else use a synchronous reset in which the assertion of the reset is timed by default.

[Synth 8-7142] Expression condition using operand 'RESET' does not match with the corresponding edges used in even control ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":61]

[Synth 8-462] no clock signal specified in event control ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":60]

[Synth 8-6156] failed synthesizing module 'ACIA_RX' ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":25]



-Jon


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 2:25 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
Jmstein7 wrote:
Should I be using a different cpu core, the more cycle accurate one you switched to? Or did you ultimately synthesize and implement with Atlet's core? Is one core better than the other?

I ultimately went back to Arlet's core.

I don't think there is much between then. Arlet's core is faster and more efficient, but not cycle-accurate. AlanD's core is cycle-accurate, but not completely bus-cycle-accurate.

So the 6502Decoder doesn't currently work perfectly with either. I'll do a bit more testing. It might help if the 6502 SYNC signal was exposed (I just added an update version of Arlet's code that SYNC).

How did you crash Vivado?

Dave

By the way, if you want to merge my fork back into yours, that's straight-forward to do, but it's best done with the git command line tools.


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 2:37 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
I ultimately went back to Arlet's core.

I don't think there is much between then. Arlet's core is faster and more efficient, but not cycle-accurate. AlanD's core is cycle-accurate, but not completely bus-cycle-accurate.

So the 6502Decoder doesn't currently work perfectly with either. I'll do a bit more testing. It might help if the 6502 SYNC signal was exposed (I just added an update version of Arlet's code that SYNC).

How did you crash Vivado?

Dave

By the way, if you want to merge my fork back into yours, that's straight-forward to do, but it's best done with the git command line tools.


Dave,

I think the crash was just bad housekeeping on my part. Trying to do too much divergent stuff within the same directory, in the same project, over and over. I just neatened that up, and viola. Still doesn't work. I've been trying to get the hang of the vivado simulation tools, though, trying to emulate that which you are trying to teach me here (thanks!). I did catch an error yesterday doing that, but it seems we both already fixed it at the same time (an error in defining the bus_e signal, such that via_e and bus_e were both active at the same time). Then I made the error of putting the rom image in the wrong directory. Fixed that. Etc. You've taught me more in two days then I taught myself in two months!

-Jon


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 3:01 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
Jmstein7 wrote:
[Synth 8-7142] Expression condition using operand 'RESET' does not match with the corresponding edges used in even control ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":61]

[Synth 8-462] no clock signal specified in event control ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":60]

[Synth 8-6156] failed synthesizing module 'ACIA_RX' ["C:/Arduino/SRAM-test/Dave_alpha/dave_alpha/dave_alpha.srcs/sources_1/imports/Dave_alpha/6551-ACIA-Verilog/ACIA_RX.v":25]

You don't want to be using the Verilog version of the ACIA in Sythesis.

I tried this morning, and ISE gave me a load of warnings.

Seems automatic translation (using vhdl2vl) needs some manual intervention.

Dave


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 3:06 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
You don't want to be using the Verilog version of the ACIA in Sythesis.

I tried this morning, and ISE gave me a load of warnings.

Seems automatic translation (using vhdl2vl) needs some manual intervention.

Dave


Agreed. I don't trust any thing that claims to be "automatic," because it never is. 8)

This is driving me bonkers. :lol: It's okay, though - that's just makes it all the more sweeter when we solve it :D

-Jon

I still don't understand why I_P2_H is necessary, though, as an external signal. Can that not be worked into the m6522 core?


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 4:14 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
Jmstein7 wrote:
hoglet wrote:
I still don't understand why I_P2_H is necessary, though, as an external signal. Can that not be worked into the m6522 core?

Possibly.

It's not really my core though.

I've been fixing small bugs, but trying to avoid making major changes, because it's just so complicated, and it's used widely in many projects.

Dave


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 4:21 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
Jmstein7 wrote:
hoglet wrote:
I still don't understand why I_P2_H is necessary, though, as an external signal. Can that not be worked into the m6522 core?

Possibly.

It's not really my core though.

I've been fixing small bugs, but trying to avoid making major changes, because it's just so complicated, and it's used widely in many projects.

Dave


Yeah, I can see - I've been looking at your vhdl version in your BeebFpga directory. I tried to work that one in, but that doesn't work, either. But, I can see you've really done a LOT of work on that core. Even though you didn't create the initial version, the more refined product is clearly yours. If only it would work, here :)

-Jon


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 5:37 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
Jmstein7 wrote:
Yeah, I can see - I've been looking at your vhdl version in your BeebFpga directory. I tried to work that one in, but that doesn't work, either. But, I can see you've really done a LOT of work on that core. Even though you didn't create the initial version, the more refined product is clearly yours. If only it would work, here :)

I've lost track slightly of where you are up to....

Have you managed to build/run the version in my fork that uses cpu_clken (with the VHDL version of the ACIA)?

I'm running that version and it's working pretty well on real hardware for me.

I'm using a 50MHz oscillator as the system clock, because that's what's on my FPGA board.

I've tried various values of the clken counter:
- 2 bits gives an effective CPU rate of 12.5MHz
- 4 bits gives an effective CPU rate of 3.125MHz
- 6 bits gives an effective CPU rate of 0.78125MHz

I've also run the system clock at 4MHz (by hacking in a DCM to convert from 50MHz to 4MHz), and with a 2-bit clken counter this gives an effective CPU rate of 1MHz.

The system runs in all of these cases, but I'm getting some dropped characters on the serial output.

I've noticed there is a fixed delay loop at $EF00:
Code:
Sef00               phx
                    phy
                    ldy #$8f
Lef04               ldx #$05
Lef06               dex
                    bne Lef06
                    dey
                    bne Lef04
                    ply
                    plx
                    rts

This delay is added after each character is printed. It consumes 5,576 65C02 cycles, which is quite a lot (5.5ms @ 1MHz).

If I bypass that loop, by placing an RTS at $ef00, the dropped characters go away, and I can dump the whole of memory without any issues. I don't understand why the delay would cause dropped characters on output. I suspect the real issue is a synchronization bug in the ACIA, and getting rid of the delay loop somehow masks this bug.

Dave


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:12 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
I've lost track slightly of where you are up to....


Actually, I've only been tinkering on the side. I haven't messed with that which we are working with at all - I'm going by your fork. If you explain to me how to merge it, I'll do that.

hoglet wrote:
Have you managed to build/run the version in my fork that uses cpu_clken (with the VHDL version of the ACIA)?

I'm running that version and it's working pretty well on real hardware for me.

I'm using a 50MHz oscillator as the system clock, because that's what's on my FPGA board.

I've tried various values of the clken counter:
- 2 bits gives an effective CPU rate of 12.5MHz
- 4 bits gives an effective CPU rate of 3.125MHz
- 6 bits gives an effective CPU rate of 0.78125MHz

I've also run the system clock at 4MHz (by hacking in a DCM to convert from 50MHz to 4MHz), and with a 2-bit clken counter this gives an effective CPU rate of 1MHz.

The system runs in all of these cases, but I'm getting some dropped characters on the serial output.


Hmmm. The system runs, but I haven't gotten the VIA to work (yet). I'm going to try the latest one, now. However, about the clock... I have 12mhz on the board and I have a 4mhz oscillator off-board. Which should I use? Of course, the acia is still connected to the 1.8432mhz.

hoglet wrote:
I've noticed there is a fixed delay loop at $EF00:
Code:
Sef00               phx
                    phy
                    ldy #$8f
Lef04               ldx #$05
Lef06               dex
                    bne Lef06
                    dey
                    bne Lef04
                    ply
                    plx
                    rts

This delay is added after each character is printed. It consumes 5,576 65C02 cycles, which is quite a lot (5.5ms @ 1MHz).

If I bypass that loop, by placing an RTS at $ef00, the dropped characters go away, and I can dump the whole of memory without any issues. I don't understand why the delay would cause dropped characters on output. I suspect the real issue is a synchronization bug in the ACIA, and getting rid of the delay loop somehow masks this bug.
Dave


Yeah, I was having trouble with my clock running too fast(!) initially, so David from WDC wrote that loop for me. I'm not married to it, so if you want to change the contents of the rom (and drop them in your github fork), that would be cool.

-Jon


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:22 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
[ Is anyone else following this thread???? ]

Jmstein7 wrote:
Actually, I've only been tinkering on the side. I haven't messed with that which we are working with at all - I'm going by your fork. If you explain to me how to merge it, I'll do that.

I need to take a break now, but later (or possibly tomorrow), I'll create a pull request. You can then merge it on github.
Jmstein7 wrote:
Hmmm. The system runs, but I haven't gotten the VIA to work (yet). I'm going to try the latest one, now. However, about the clock... I have 12mhz on the board and I have a 4mhz oscillator off-board. Which should I use? Of course, the acia is still connected to the 1.8432mhz.

I have one more change that might help, but it shouldn't actually be needed.
Jmstein7 wrote:
Yeah, I was having trouble with my clock running too fast(!) initially, so David from WDC wrote that loop for me. I'm not married to it, so if you want to change the contents of the rom (and drop them in your github fork), that would be cool.

I think that loop is only needed with a real 65C51 with the "broken TXFULL" bug.

The VHDL version should work fine without any delay.

hoglet wrote:
I suspect the real issue is a synchronization bug in the ACIA, and getting rid of the delay loop somehow masks this bug.

I've pretty much proven to myself there is a synchronization issue between the two clock domains in ACIA_TX:
https://github.com/LIV2/VHDL-6551-ACIA/ ... TX.vhd#L36

The r_txready and r_txtaken signals pass between the two state machines (on the different clock domains) without any synronization.

This is generally not good practive if you want the system to operate reliably.

If I add an additional register to each signal, the issue seems to be fixed.

Dave


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:35 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
[ Is anyone else following this thread???? ]

I've wondered the same thing.
hoglet wrote:
I need to take a break now, but later (or possibly tomorrow), I'll create a pull request. You can then merge it on github.

What you've done so far is amazing.
hoglet wrote:
I think that loop is only needed with a real 65C51 with the "broken TXFULL" bug.

The VHDL version should work fine without any delay.


That sounds logical.

I'm synthesizing and implementing again (your fork) from scratch. If it doesn't work, I'm going to try it on a different board. I shall report back!

-Jon

PS I added a DRC report to my side of the fork:
https://github.com/jmstein7/65c02_errata/blob/main/drc_1.rpx


Last edited by Jmstein7 on Mon Jun 07, 2021 6:51 pm, edited 1 time in total.

Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:45 pm 
Offline
User avatar

Joined: Thu Dec 11, 2008 1:28 pm
Posts: 10822
Location: England
(I am following the thread, but don't feel I have anything to offer. We have someone very experienced, and someone relatively inexperienced. There's some progress, but there's some gap in coordination. I think there are two paths for Jon: to find his own way, which will be slower but might be good for solid learning; or to follow very closely what Dave is leading with and try to understand each step.)


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:53 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
BigEd wrote:
(I am following the thread, but don't feel I have anything to offer. We have someone very experienced, and someone relatively inexperienced. There's some progress, but there's some gap in coordination. I think there are two paths for Jon: to find his own way, which will be slower but might be good for solid learning; or to follow very closely what Dave is leading with and try to understand each step.)


Agreed, and I'm following very closely. :wink:


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 6:57 pm 
Offline

Joined: Sun May 30, 2021 2:16 am
Posts: 374
hoglet wrote:
I've pretty much proven to myself there is a synchronization issue between the two clock domains in ACIA_TX:
https://github.com/LIV2/VHDL-6551-ACIA/ ... TX.vhd#L36

The r_txready and r_txtaken signals pass between the two state machines (on the different clock domains) without any synronization.

This is generally not good practive if you want the system to operate reliably.

If I add an additional register to each signal, the issue seems to be fixed.

Dave


I don't know if this will help, either, but here, from my TCL console:

Code:
close_hw_manager
synth_design -rtl -rtl_skip_mlo -name rtl_1
Command: synth_design -rtl -rtl_skip_mlo -name rtl_1
Starting synth_design
Using part: xc7s50csga324-1
Top: top
---------------------------------------------------------------------------------
Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 4225.473 ; gain = 0.000
---------------------------------------------------------------------------------
INFO: [Synth 8-6157] synthesizing module 'top' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/top.sv:22]
   Parameter CLKEN_BITS bound to: 2 - type: integer
   Parameter RAM_ADDR_BITS bound to: 15 - type: integer
   Parameter ROM_ADDR_BITS bound to: 14 - type: integer
   Parameter RAM_END bound to: 32768 - type: integer
   Parameter ROM_START bound to: 49152 - type: integer
INFO: [Synth 8-3876] $readmem data file 'rtest_hex.txt' is read successfully [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/top.sv:177]
INFO: [Synth 8-6157] synthesizing module 'cpu_65c02' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/cpu_65c02.v:51]
   Parameter SEL_A bound to: 2'b00
   Parameter SEL_S bound to: 2'b01
   Parameter SEL_X bound to: 2'b10
   Parameter SEL_Y bound to: 2'b11
   Parameter OP_OR bound to: 4'b1100
   Parameter OP_AND bound to: 4'b1101
   Parameter OP_EOR bound to: 4'b1110
   Parameter OP_ADD bound to: 4'b0011
   Parameter OP_SUB bound to: 4'b0111
   Parameter OP_ROL bound to: 4'b1011
   Parameter OP_A bound to: 4'b1111
   Parameter ABS0 bound to: 6'b000000
   Parameter ABS1 bound to: 6'b000001
   Parameter ABSX0 bound to: 6'b000010
   Parameter ABSX1 bound to: 6'b000011
   Parameter ABSX2 bound to: 6'b000100
   Parameter BRA0 bound to: 6'b000101
   Parameter BRA1 bound to: 6'b000110
   Parameter BRA2 bound to: 6'b000111
   Parameter BRK0 bound to: 6'b001000
   Parameter BRK1 bound to: 6'b001001
   Parameter BRK2 bound to: 6'b001010
   Parameter BRK3 bound to: 6'b001011
   Parameter DECODE bound to: 6'b001100
   Parameter FETCH bound to: 6'b001101
   Parameter INDX0 bound to: 6'b001110
   Parameter INDX1 bound to: 6'b001111
   Parameter INDX2 bound to: 6'b010000
   Parameter INDX3 bound to: 6'b010001
   Parameter INDY0 bound to: 6'b010010
   Parameter INDY1 bound to: 6'b010011
   Parameter INDY2 bound to: 6'b010100
   Parameter INDY3 bound to: 6'b010101
   Parameter JMP0 bound to: 6'b010110
   Parameter JMP1 bound to: 6'b010111
   Parameter JMPI0 bound to: 6'b011000
   Parameter JMPI1 bound to: 6'b011001
   Parameter JSR0 bound to: 6'b011010
   Parameter JSR1 bound to: 6'b011011
   Parameter JSR2 bound to: 6'b011100
   Parameter JSR3 bound to: 6'b011101
   Parameter PULL0 bound to: 6'b011110
   Parameter PULL1 bound to: 6'b011111
   Parameter PULL2 bound to: 6'b100000
   Parameter PUSH0 bound to: 6'b100001
   Parameter PUSH1 bound to: 6'b100010
   Parameter READ bound to: 6'b100011
   Parameter REG bound to: 6'b100100
   Parameter RTI0 bound to: 6'b100101
   Parameter RTI1 bound to: 6'b100110
   Parameter RTI2 bound to: 6'b100111
   Parameter RTI3 bound to: 6'b101000
   Parameter RTI4 bound to: 6'b101001
   Parameter RTS0 bound to: 6'b101010
   Parameter RTS1 bound to: 6'b101011
   Parameter RTS2 bound to: 6'b101100
   Parameter RTS3 bound to: 6'b101101
   Parameter WRITE bound to: 6'b101110
   Parameter ZP0 bound to: 6'b101111
   Parameter ZPX0 bound to: 6'b110000
   Parameter ZPX1 bound to: 6'b110001
   Parameter IND0 bound to: 6'b110010
   Parameter JMPIX0 bound to: 6'b110011
   Parameter JMPIX1 bound to: 6'b110100
   Parameter JMPIX2 bound to: 6'b110101
   Parameter ZEROPAGE bound to: 8'b00000000
   Parameter STACKPAGE bound to: 8'b00000001
INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/ALU.v:20]
INFO: [Synth 8-6155] done synthesizing module 'ALU' (1#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/ALU.v:20]
INFO: [Synth 8-155] case statement is not full and has no default [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/cpu_65c02.v:951]
WARNING: [Synth 8-151] case item 6'b000111 is unreachable [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/cpu_65c02.v:1079]
INFO: [Synth 8-6155] done synthesizing module 'cpu_65c02' (2#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/cpu_65c02.v:51]
WARNING: [Synth 8-7071] port 'SYNC' of module 'cpu_65c02' is unconnected for instance 'cpu_alpha' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/top.sv:127]
WARNING: [Synth 8-7023] instance 'cpu_alpha' of module 'cpu_65c02' has 10 connections declared, but only 9 given [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/top.sv:127]
INFO: [Synth 8-638] synthesizing module 'ACIA' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA.vhd:36]
INFO: [Synth 8-3491] module 'ACIA_RX' declared at 'C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_RX.vhd:6' bound to instance 'C_RX' of component 'ACIA_RX' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA.vhd:103]
INFO: [Synth 8-638] synthesizing module 'ACIA_RX' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_RX.vhd:25]
INFO: [Synth 8-256] done synthesizing module 'ACIA_RX' (3#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_RX.vhd:25]
INFO: [Synth 8-3491] module 'ACIA_TX' declared at 'C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_TX.vhd:6' bound to instance 'C_TX' of component 'ACIA_TX' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA.vhd:119]
INFO: [Synth 8-638] synthesizing module 'ACIA_TX' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_TX.vhd:23]
INFO: [Synth 8-226] default block is never used [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_TX.vhd:109]
INFO: [Synth 8-256] done synthesizing module 'ACIA_TX' (4#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_TX.vhd:23]
INFO: [Synth 8-3491] module 'ACIA_BRGEN' declared at 'C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_BRGEN.vhd:6' bound to instance 'C_BRGEN' of component 'ACIA_BRGEN' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA.vhd:133]
INFO: [Synth 8-638] synthesizing module 'ACIA_BRGEN' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_BRGEN.vhd:16]
INFO: [Synth 8-226] default block is never used [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_BRGEN.vhd:31]
INFO: [Synth 8-256] done synthesizing module 'ACIA_BRGEN' (5#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA_BRGEN.vhd:16]
INFO: [Synth 8-256] done synthesizing module 'ACIA' (6#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/6551-ACIA/ACIA.vhd:36]
INFO: [Synth 8-6157] synthesizing module 'm6522' [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/m6522.v:52]
INFO: [Synth 8-155] case statement is not full and has no default [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/m6522.v:266]
INFO: [Synth 8-155] case statement is not full and has no default [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/m6522.v:338]
WARNING: [Synth 8-589] replacing case/wildcard equality operator === with logical equality operator == [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/m6522.v:475]
INFO: [Synth 8-6155] done synthesizing module 'm6522' (7#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/m6522.v:52]
INFO: [Synth 8-6155] done synthesizing module 'top' (8#1) [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/sources_1/imports/65c02_errata-beta/top.sv:22]
---------------------------------------------------------------------------------
Finished RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 4225.473 ; gain = 0.000
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Start Handling Custom Attributes
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 4225.473 ; gain = 0.000
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 4225.473 ; gain = 0.000
---------------------------------------------------------------------------------
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.030 . Memory (MB): peak = 4225.473 ; gain = 0.000
INFO: [Project 1-570] Preparing netlist for logic optimization

Processing XDC Constraints
Initializing timing engine
Parsing XDC File [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/constrs_1/imports/65c02_errata-beta/Arty-S7-50-Master.xdc]
Finished Parsing XDC File [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/constrs_1/imports/65c02_errata-beta/Arty-S7-50-Master.xdc]
INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Arduino/SRAM-test/Dave_beta/dave_beta/dave_beta.srcs/constrs_1/imports/65c02_errata-beta/Arty-S7-50-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/top_propImpl.xdc].
Resolution: To avoid this warning, move constraints listed in [.Xil/top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
Completed Processing XDC Constraints

Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 4225.473 ; gain = 0.000
INFO: [Project 1-111] Unisim Transformation Summary:
No Unisim elements were transformed.

RTL Elaboration Complete:  : Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 4225.473 ; gain = 0.000
28 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
synth_design completed successfully
synth_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 4225.473 ; gain = 0.000
report_drc -name drc_1 -ruledecks {default}
Command: report_drc -name drc_1 -ruledecks default
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
INFO: [DRC 23-27] Running DRC with 2 threads
report_drc completed successfully


Top
 Profile  
Reply with quote  
PostPosted: Mon Jun 07, 2021 7:22 pm 
Offline

Joined: Sun Jun 29, 2014 5:42 am
Posts: 337
Here a pull request will all changes to date:
https://github.com/jmstein7/65c02_errata/pull/1

You can merge it on the github website - there shouldn't be any conflicts unless you've made further changes in the last few minutes.

Then you just need to refresh your local copy.

Dave


Top
 Profile  
Reply with quote  
Display posts from previous:  Sort by  
Post new topic Reply to topic  [ 91 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7  Next

All times are UTC


Who is online

Users browsing this forum: No registered users and 15 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
cron